TY - JOUR AU - Kaiser, Felix AU - Kosnac, Stefan AU - BrĂ¼ning, Ulrich PY - 2019/06/24 Y2 - 2024/03/29 TI - Development of a RISC-V-Conform Fused Multiply-Add Floating-Point Unit JF - Supercomputing Frontiers and Innovations JA - superfri VL - 6 IS - 2 SE - Articles DO - 10.14529/jsfi190205 UR - https://superfri.susu.ru/index.php/superfri/article/view/274 SP - 64-74 AB - Despite the fact that the open-source community around the RISC-V instruction set architecture is growing rapidly, there is still no high-speed open-source hardware implementation of the IEEE 754-2008 floating-point standard available. We designed a Fused Multiply-Add Floating-Point Unit compatible with the RISC-V ISA in SystemVerilog, which enables us to conduct detailed optimizations where necessary. The design has been verified with the industry standard simulation-based Universal Verification Methodology using the Specman e Hardware Verification Language. The most challenging part of the verification is the reference model, for which we integrated the Floating-Point Unit of an existing Intel processor using the Function Level Interface provided by Specman e. With the use of Intel's Floating-Point Unit we have a ``known good" and fast reference model. The Back-End flow was done with Global Foundries' 22 nm Fully-Depleted Silicon-On-Insulator (GF22FDX) process using Cadence tools. We reached 1.8 GHz over PVT corners with a 0.8 V forward body bias, but there is still a large potential for further RTL optimization. A power analysis was conducted with stimuli generated by the verification environment and resulted in 212 mW. ER -